From 3eace9fae974ef9d3035cd8df7e1b0bd3a626bc0 Mon Sep 17 00:00:00 2001 From: Stephen McGinty Date: Thu, 25 Jul 2024 10:58:32 +0100 Subject: [PATCH] Reinstated save_ref command, accepted prog gen signature change --- rust/origen/cli/src/bin.rs | 44 +- rust/origen/cli/src/commands/mod.rs | 2 +- rust/origen/cli/src/commands/save_ref.rs | 50 +- rust/origen/cli/src/framework/mod.rs | 6 +- rust/origen/src/core/tester.rs | 2 +- rust/origen_metal/src/prog_gen/mod.rs | 2 +- .../v93ksmt7/test_program/testflow/prb1.tf | 612 +++++++++--------- .../test_program/testflow/setup/prb1_vars.tf | 30 +- 8 files changed, 373 insertions(+), 375 deletions(-) diff --git a/rust/origen/cli/src/bin.rs b/rust/origen/cli/src/bin.rs index ac341027..7fd89df0 100644 --- a/rust/origen/cli/src/bin.rs +++ b/rust/origen/cli/src/bin.rs @@ -437,6 +437,7 @@ fn main() -> Result<()> { commands::env::add_helps(&mut helps); commands::generate::add_helps(&mut helps); commands::target::add_helps(&mut helps); + commands::save_ref::add_helps(&mut helps); } else { commands::new::add_helps(&mut helps); } @@ -474,6 +475,7 @@ fn main() -> Result<()> { app = commands::app::add_commands(app, &helps, app_cmds.as_ref().unwrap(), &extensions)?; app = commands::env::add_commands(app, &helps, &extensions)?; app = commands::generate::add_commands(app, &helps, &extensions)?; + app = commands::save_ref::add_commands(app, &helps, &extensions)?; // /************************************************************************************/ // let new_help = "Generate a new block, flow, pattern, etc. for your application"; @@ -765,40 +767,6 @@ fn main() -> Result<()> { // .action(SetArg) // .value_name("MODE"), // ), -// ); - -// /************************************************************************************/ -// let save_ref_help = "Save a reference version of the given file, this will be automatically checked for differences the next time it is generated"; -// origen_commands.push(CommandHelp { -// name: "save_ref".to_string(), -// help: save_ref_help.to_string(), -// shortcut: None, -// }); -// app = app.subcommand( -// Command::new("save_ref") -// .about(save_ref_help) -// .arg( -// Arg::new("files") -// .help("The name of the file(s) to be saved") -// .action(SetArg) -// .value_name("FILES") -// .multiple(true) -// .required_unless_one(&["new", "changed"]), -// ) -// .arg( -// Arg::new("new") -// .long("new") -// .required(false) -// .action(SetArgTrue) -// .help("Update all NEW file references from the last generate run"), -// ) -// .arg( -// Arg::new("changed") -// .long("changed") -// .required(false) -// .action(SetArgTrue) -// .help("Update all CHANGED file references from the last generate run"), -// ), // ); } else { app = commands::new::add_commands(app, &helps, &extensions)?; @@ -1181,10 +1149,10 @@ fn main() -> Result<()> { // let matches = matches.subcommand_matches("mode").unwrap(); // commands::mode::run(matches.get_one::<&str>("mode").map(|s| *s)); // } - // Some("save_ref") => { - // let matches = matches.subcommand_matches("save_ref").unwrap(); - // commands::save_ref::run(matches); - // } + Some(commands::save_ref::BASE_CMD) => { + let matches = matches.subcommand_matches(commands::save_ref::BASE_CMD).unwrap(); + commands::save_ref::run(matches)?; + } Some(commands::plugin::BASE_CMD) => run_cmd_match_case!(plugin), Some(commands::plugins::BASE_CMD) => commands::plugins::run(matches.subcommand_matches(commands::plugins::BASE_CMD).unwrap(), plugins.as_ref())?, Some(invalid_cmd) => { diff --git a/rust/origen/cli/src/commands/mod.rs b/rust/origen/cli/src/commands/mod.rs index 2f487e46..10c5fa29 100644 --- a/rust/origen/cli/src/commands/mod.rs +++ b/rust/origen/cli/src/commands/mod.rs @@ -6,7 +6,7 @@ pub mod interactive; // pub mod mode; pub mod new; // pub mod proj; -// pub mod save_ref; +pub mod save_ref; pub mod target; // pub mod mailer; pub mod credentials; diff --git a/rust/origen/cli/src/commands/save_ref.rs b/rust/origen/cli/src/commands/save_ref.rs index 361c2831..fd6c4a5c 100644 --- a/rust/origen/cli/src/commands/save_ref.rs +++ b/rust/origen/cli/src/commands/save_ref.rs @@ -1,37 +1,67 @@ -use origen_metal::framework::reference_files; use std::path::Path; -pub fn run(matches: &clap::ArgMatches) { - let mut exit_code = 0; +use origen_metal::framework::reference_files; +use crate::commands::_prelude::*; + +pub const BASE_CMD: &'static str = "save_ref"; + +gen_core_cmd_funcs!( + BASE_CMD, + "Save a reference version of the given file, this will be automatically checked for differences the next time it is generated", + { |cmd: App<'a>| { + cmd + .arg( + Arg::new("files") + .help("The name of the file(s) to be saved") + .action(SetArg) + .value_name("FILES") + .multiple(true) + .required_unless_one(&["new", "changed"]), + ) + .arg( + Arg::new("new") + .long("new") + .required(false) + .action(SetArgTrue) + .help("Update all NEW file references from the last generate run"), + ) + .arg( + Arg::new("changed") + .long("changed") + .required(false) + .action(SetArgTrue) + .help("Update all CHANGED file references from the last generate run"), + ) + }} +); + +pub fn run(matches: &clap::ArgMatches) -> Result<()> { let new = matches.contains_id("new"); let changed = matches.contains_id("changed"); let files = matches.get_many::("files"); if new { if let Err(e) = reference_files::apply_all_new_refs() { - log_error!("Something went wrong saving the NEW references - {}", e); - exit_code = 1; + bail!("Something went wrong saving the NEW references - {}", e); } } if changed { if let Err(e) = reference_files::apply_all_changed_refs() { - log_error!( + bail!( "Something went wrong updating the CHANGED references - {}", e ); - exit_code = 1; } } if let Some(files) = files { for key in files { if let Err(e) = reference_files::apply_ref(Path::new(key)) { - log_error!("Could not save '{}' - {}", key, e); - exit_code = 1; + bail!("Could not save '{}' - {}", key, e); } } } - std::process::exit(exit_code); + Ok(()) } diff --git a/rust/origen/cli/src/framework/mod.rs b/rust/origen/cli/src/framework/mod.rs index be694f1d..e26326a7 100644 --- a/rust/origen/cli/src/framework/mod.rs +++ b/rust/origen/cli/src/framework/mod.rs @@ -10,13 +10,13 @@ use std::collections::HashMap; use origen_metal::indexmap::IndexMap; pub use extensions::{Extensions, ExtensionTOML, Extension}; -pub use plugins::{Plugins, Plugin}; +pub use plugins::Plugins; pub use aux_cmds::AuxCmds; pub use app_cmds::AppCmds; pub use helps::{CmdHelps, CmdHelp, CmdSrc}; -use std::{env}; +use std::env; -use clap::{App}; +use clap::App; use clap::Command as ClapCommand; use clap::Arg as ClapArg; use origen::{Result, in_app_invocation}; diff --git a/rust/origen/src/core/tester.rs b/rust/origen/src/core/tester.rs index 7ca74db4..0d2fa58e 100644 --- a/rust/origen/src/core/tester.rs +++ b/rust/origen/src/core/tester.rs @@ -862,7 +862,7 @@ pub trait TesterAPI: std::fmt::Debug + Interceptor + TesterID + TesterAPIClone { /// A default implementation is given since some testers may only support prog gen /// and not patgen and vice versa, in that case they will return an empty vector. fn render_program(&mut self) -> crate::Result<(Vec, Model)> { - origen_metal::prog_gen::render_program(self.id_prog_gen(), &self.output_dir()?) + origen_metal::prog_gen::render_program(self.id_prog_gen(), &self.output_dir()?.join("test_program")) } /// The tester should implement this to return a differ instance which is configured diff --git a/rust/origen_metal/src/prog_gen/mod.rs b/rust/origen_metal/src/prog_gen/mod.rs index cb987272..ba974467 100644 --- a/rust/origen_metal/src/prog_gen/mod.rs +++ b/rust/origen_metal/src/prog_gen/mod.rs @@ -99,6 +99,6 @@ pub fn trace_error(node: &Node, error: crate::Error) -> crate::Resu pub fn render_program(tester: SupportedTester, output_dir: &Path) -> crate::Result<(Vec, Model)> { match tester { SupportedTester::V93KSMT7 => advantest::smt7::render(output_dir), - _ => unimplemented!("Tester {:?} is not yet supported for render_program", tester), + _ => Ok((vec![], Model::new(tester))), } } \ No newline at end of file diff --git a/test_apps/python_app/approved/v93ksmt7/test_program/testflow/prb1.tf b/test_apps/python_app/approved/v93ksmt7/test_program/testflow/prb1.tf index 47ccb419..78393049 100644 --- a/test_apps/python_app/approved/v93ksmt7/test_program/testflow/prb1.tf +++ b/test_apps/python_app/approved/v93ksmt7/test_program/testflow/prb1.tf @@ -981,462 +981,469 @@ end ----------------------------------------------------------------- test_suites -another_not_p1_or_p2_test_864CE8F: +another_not_p1_or_p2_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "another_not_p1_or_p2_test"; override_testf = tm_31; site_control = "parallel:"; site_match = 2; -bitcell_iv_0_864CE8F: +bitcell_iv_0_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "bitcell_iv_0"; override_testf = tm_113; site_control = "parallel:"; site_match = 2; -bitcell_iv_1_864CE8F: +bitcell_iv_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "bitcell_iv_1"; override_testf = tm_114; site_control = "parallel:"; site_match = 2; -bitcell_iv_2_864CE8F: +bitcell_iv_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "bitcell_iv_2"; override_testf = tm_115; site_control = "parallel:"; site_match = 2; -bitmap_all0_864CE8F: +bitmap_all0_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "bitmap_all0"; override_testf = tm_112; site_control = "parallel:"; site_match = 2; -cc_test_0_864CE8F: +cc_test_0_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "cc_test_0"; override_testf = tm_93; site_control = "parallel:"; site_match = 2; -cc_test_1_864CE8F: +cc_test_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "cc_test_1"; override_testf = tm_94; site_control = "parallel:"; site_match = 2; -cc_test_2_864CE8F: +cc_test_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "cc_test_2"; override_testf = tm_95; site_control = "parallel:"; site_match = 2; -erase_all_10_864CE8F: +erase_all_10_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_37; site_control = "parallel:"; site_match = 2; -erase_all_11_864CE8F: +erase_all_11_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_39; site_control = "parallel:"; site_match = 2; -erase_all_12_864CE8F: +erase_all_12_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_41; site_control = "parallel:"; site_match = 2; -erase_all_13_864CE8F: +erase_all_13_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_43; site_control = "parallel:"; site_match = 2; -erase_all_14_864CE8F: +erase_all_14_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_45; site_control = "parallel:"; site_match = 2; -erase_all_15_864CE8F: +erase_all_15_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_47; site_control = "parallel:"; site_match = 2; -erase_all_16_864CE8F: +erase_all_16_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_49; site_control = "parallel:"; site_match = 2; -erase_all_17_864CE8F: +erase_all_17_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_50; site_control = "parallel:"; site_match = 2; -erase_all_18_864CE8F: +erase_all_18_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_51; site_control = "parallel:"; site_match = 2; -erase_all_19_864CE8F: +erase_all_19_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_52; site_control = "parallel:"; site_match = 2; -erase_all_1_864CE8F: +erase_all_1DF9838: + local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; + override = 1; + override_seqlbl = "erase_all"; + override_testf = tm_4; + site_control = "parallel:"; + site_match = 2; +erase_all_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_5; site_control = "parallel:"; site_match = 2; -erase_all_20_864CE8F: +erase_all_20_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_53; site_control = "parallel:"; site_match = 2; -erase_all_21_864CE8F: +erase_all_21_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_54; site_control = "parallel:"; site_match = 2; -erase_all_22_864CE8F: +erase_all_22_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_55; site_control = "parallel:"; site_match = 2; -erase_all_23_864CE8F: +erase_all_23_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_56; site_control = "parallel:"; site_match = 2; -erase_all_24_864CE8F: +erase_all_24_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_57; site_control = "parallel:"; site_match = 2; -erase_all_25_864CE8F: +erase_all_25_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_60; site_control = "parallel:"; site_match = 2; -erase_all_26_864CE8F: +erase_all_26_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_61; site_control = "parallel:"; site_match = 2; -erase_all_27_864CE8F: +erase_all_27_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_64; site_control = "parallel:"; site_match = 2; -erase_all_28_864CE8F: +erase_all_28_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_65; site_control = "parallel:"; site_match = 2; -erase_all_29_864CE8F: +erase_all_29_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_68; site_control = "parallel:"; site_match = 2; -erase_all_2_864CE8F: +erase_all_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_6; site_control = "parallel:"; site_match = 2; -erase_all_30_864CE8F: +erase_all_30_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_69; site_control = "parallel:"; site_match = 2; -erase_all_31_864CE8F: +erase_all_31_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_72; site_control = "parallel:"; site_match = 2; -erase_all_32_864CE8F: +erase_all_32_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_73; site_control = "parallel:"; site_match = 2; -erase_all_33_864CE8F: +erase_all_33_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_76; site_control = "parallel:"; site_match = 2; -erase_all_34_864CE8F: +erase_all_34_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_77; site_control = "parallel:"; site_match = 2; -erase_all_35_864CE8F: +erase_all_35_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_80; site_control = "parallel:"; site_match = 2; -erase_all_36_864CE8F: +erase_all_36_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_81; site_control = "parallel:"; site_match = 2; -erase_all_37_864CE8F: +erase_all_37_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_82; site_control = "parallel:"; site_match = 2; -erase_all_38_864CE8F: +erase_all_38_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_83; site_control = "parallel:"; site_match = 2; -erase_all_39_864CE8F: +erase_all_39_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_85; site_control = "parallel:"; site_match = 2; -erase_all_3_864CE8F: +erase_all_3_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_7; site_control = "parallel:"; site_match = 2; -erase_all_40_864CE8F: +erase_all_40_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_86; site_control = "parallel:"; site_match = 2; -erase_all_41_864CE8F: +erase_all_41_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_119; site_control = "parallel:"; site_match = 2; -erase_all_4_864CE8F: +erase_all_4_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_8; site_control = "parallel:"; site_match = 2; -erase_all_5_864CE8F: +erase_all_5_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_9; site_control = "parallel:"; site_match = 2; -erase_all_6_864CE8F: +erase_all_6_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_33; site_control = "parallel:"; site_match = 2; -erase_all_7_864CE8F: +erase_all_7_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_34; site_control = "parallel:"; site_match = 2; -erase_all_864CE8F: - local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; - override = 1; - override_seqlbl = "erase_all"; - override_testf = tm_4; - site_control = "parallel:"; - site_match = 2; -erase_all_8_864CE8F: +erase_all_8_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_35; site_control = "parallel:"; site_match = 2; -erase_all_9_864CE8F: +erase_all_9_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "erase_all"; override_testf = tm_36; site_control = "parallel:"; site_match = 2; -force_serial_false_test_864CE8F: +force_serial_false_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "force_serial_false_test"; override_testf = tm_100; site_control = "parallel:"; site_match = 2; -force_serial_true_test_864CE8F: +force_serial_true_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail, force_serial; override = 1; override_seqlbl = "force_serial_true_test"; override_testf = tm_99; site_control = "parallel:"; site_match = 2; -margin_read0_ckbd_1_864CE8F: +margin_read0_ckbd_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read0_ckbd"; - override_testf = tm_118; + override_testf = tm_3; site_control = "parallel:"; site_match = 2; -margin_read0_ckbd_864CE8F: +margin_read0_ckbd_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read0_ckbd"; - override_testf = tm_3; + override_testf = tm_118; site_control = "parallel:"; site_match = 2; -margin_read1_all1_10_864CE8F: +margin_read1_all1_10_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_63; site_control = "parallel:"; site_match = 2; -margin_read1_all1_11_864CE8F: +margin_read1_all1_11_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_66; site_control = "parallel:"; site_match = 2; -margin_read1_all1_12_864CE8F: +margin_read1_all1_12_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_67; site_control = "parallel:"; site_match = 2; -margin_read1_all1_13_864CE8F: +margin_read1_all1_13_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_70; site_control = "parallel:"; site_match = 2; -margin_read1_all1_14_864CE8F: +margin_read1_all1_14_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_71; site_control = "parallel:"; site_match = 2; -margin_read1_all1_15_864CE8F: +margin_read1_all1_15_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_74; site_control = "parallel:"; site_match = 2; -margin_read1_all1_16_864CE8F: +margin_read1_all1_16_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_75; site_control = "parallel:"; site_match = 2; -margin_read1_all1_17_864CE8F: +margin_read1_all1_17_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_78; site_control = "parallel:"; site_match = 2; -margin_read1_all1_18_864CE8F: +margin_read1_all1_18_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_79; site_control = "parallel:"; site_match = 2; -margin_read1_all1_19_864CE8F: +margin_read1_all1_19_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_84; site_control = "parallel:"; site_match = 2; -margin_read1_all1_1_864CE8F: +margin_read1_all1_1DF9838: + local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; + override = 1; + override_seqlbl = "margin_read1_all1"; + override_testf = tm_10; + site_control = "parallel:"; + site_match = 2; +margin_read1_all1_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_38; site_control = "parallel:"; site_match = 2; -margin_read1_all1_20_864CE8F: +margin_read1_all1_20_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_levset = cz; @@ -1444,374 +1451,367 @@ margin_read1_all1_20_864CE8F: override_testf = tm_87; site_control = "parallel:"; site_match = 2; -margin_read1_all1_2_864CE8F: +margin_read1_all1_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_40; site_control = "parallel:"; site_match = 2; -margin_read1_all1_3_864CE8F: +margin_read1_all1_3_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_42; site_control = "parallel:"; site_match = 2; -margin_read1_all1_4_864CE8F: +margin_read1_all1_4_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_44; site_control = "parallel:"; site_match = 2; -margin_read1_all1_5_864CE8F: +margin_read1_all1_5_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_46; site_control = "parallel:"; site_match = 2; -margin_read1_all1_6_864CE8F: +margin_read1_all1_6_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_48; site_control = "parallel:"; site_match = 2; -margin_read1_all1_7_864CE8F: +margin_read1_all1_7_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; override_testf = tm_58; site_control = "parallel:"; site_match = 2; -margin_read1_all1_864CE8F: +margin_read1_all1_8_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; - override_testf = tm_10; + override_testf = tm_59; site_control = "parallel:"; site_match = 2; -margin_read1_all1_8_864CE8F: +margin_read1_all1_9_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_all1"; - override_testf = tm_59; + override_testf = tm_62; site_control = "parallel:"; site_match = 2; -margin_read1_all1_9_864CE8F: +margin_read1_ckbd_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; - override_seqlbl = "margin_read1_all1"; - override_testf = tm_62; + override_seqlbl = "margin_read1_ckbd"; + override_testf = tm_2; site_control = "parallel:"; site_match = 2; -margin_read1_ckbd_1_864CE8F: +margin_read1_ckbd_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "margin_read1_ckbd"; override_testf = tm_116; site_control = "parallel:"; site_match = 2; -margin_read1_ckbd_864CE8F: +meas_read_pump_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; - override_seqlbl = "margin_read1_ckbd"; - override_testf = tm_2; + override_seqlbl = "meas_read_pump"; + override_testf = tm_101; site_control = "parallel:"; site_match = 2; -meas_read_pump_1_864CE8F: +meas_read_pump_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_102; site_control = "parallel:"; site_match = 2; -meas_read_pump_2_864CE8F: +meas_read_pump_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_103; site_control = "parallel:"; site_match = 2; -meas_read_pump_3_864CE8F: +meas_read_pump_3_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_104; site_control = "parallel:"; site_match = 2; -meas_read_pump_4_864CE8F: +meas_read_pump_4_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_105; site_control = "parallel:"; site_match = 2; -meas_read_pump_5_864CE8F: +meas_read_pump_5_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_106; site_control = "parallel:"; site_match = 2; -meas_read_pump_6_864CE8F: +meas_read_pump_6_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_107; site_control = "parallel:"; site_match = 2; -meas_read_pump_7_864CE8F: +meas_read_pump_7_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_108; site_control = "parallel:"; site_match = 2; -meas_read_pump_864CE8F: - local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; - override = 1; - override_seqlbl = "meas_read_pump"; - override_testf = tm_101; - site_control = "parallel:"; - site_match = 2; -meas_read_pump_8_864CE8F: +meas_read_pump_8_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_109; site_control = "parallel:"; site_match = 2; -meas_read_pump_9_864CE8F: +meas_read_pump_9_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "meas_read_pump"; override_testf = tm_110; site_control = "parallel:"; site_match = 2; -mixed_flag_check_864CE8F: +mixed_flag_check_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "mixed_flag_check"; override_testf = tm_96; site_control = "parallel:"; site_match = 2; -normal_read_ckbd_864CE8F: +normal_read_ckbd_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "normal_read_ckbd"; override_testf = tm_117; site_control = "parallel:"; site_match = 2; -not_p1_or_p2_test_864CE8F: +not_p1_or_p2_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "not_p1_or_p2_test"; override_testf = tm_30; site_control = "parallel:"; site_match = 2; -not_p1_test_864CE8F: +not_p1_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "not_p1_test"; override_testf = tm_29; site_control = "parallel:"; site_match = 2; -p1_only_test_864CE8F: +p1_only_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "p1_only_test"; override_testf = tm_27; site_control = "parallel:"; site_match = 2; -p1_or_p2_only_test_864CE8F: +p1_or_p2_only_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "p1_or_p2_only_test"; override_testf = tm_28; site_control = "parallel:"; site_match = 2; -por_ins_864CE8F: +por_ins_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "por_ins"; override_testf = tm_32; site_control = "parallel:"; site_match = 2; -program_ckbd_10_864CE8F: +program_ckbd_10_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b2"; override_testf = tm_20; site_control = "parallel:"; site_match = 2; -program_ckbd_11_864CE8F: +program_ckbd_11_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b0"; override_testf = tm_21; site_control = "parallel:"; site_match = 2; -program_ckbd_12_864CE8F: +program_ckbd_12_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b1"; override_testf = tm_22; site_control = "parallel:"; site_match = 2; -program_ckbd_13_864CE8F: +program_ckbd_13_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b2"; override_testf = tm_23; site_control = "parallel:"; site_match = 2; -program_ckbd_14_864CE8F: +program_ckbd_14_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b0"; override_testf = tm_24; site_control = "parallel:"; site_match = 2; -program_ckbd_15_864CE8F: +program_ckbd_15_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b1"; override_testf = tm_25; site_control = "parallel:"; site_match = 2; -program_ckbd_16_864CE8F: +program_ckbd_16_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b2"; override_testf = tm_26; site_control = "parallel:"; site_match = 2; -program_ckbd_17_864CE8F: +program_ckbd_17_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd"; override_testf = tm_111; site_control = "parallel:"; site_match = 2; -program_ckbd_1_864CE8F: +program_ckbd_1DF9838: + local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; + override = 1; + override_seqlbl = "program_ckbd"; + override_testf = tm_1; + site_control = "parallel:"; + site_match = 2; +program_ckbd_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd"; override_testf = tm_11; site_control = "parallel:"; site_match = 2; -program_ckbd_2_864CE8F: +program_ckbd_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd"; override_testf = tm_12; site_control = "parallel:"; site_match = 2; -program_ckbd_3_864CE8F: +program_ckbd_3_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd"; override_testf = tm_13; site_control = "parallel:"; site_match = 2; -program_ckbd_4_864CE8F: +program_ckbd_4_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd"; override_testf = tm_14; site_control = "parallel:"; site_match = 2; -program_ckbd_5_864CE8F: +program_ckbd_5_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b0"; override_testf = tm_15; site_control = "parallel:"; site_match = 2; -program_ckbd_6_864CE8F: +program_ckbd_6_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b1"; override_testf = tm_16; site_control = "parallel:"; site_match = 2; -program_ckbd_7_864CE8F: +program_ckbd_7_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b2"; override_testf = tm_17; site_control = "parallel:"; site_match = 2; -program_ckbd_864CE8F: - local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; - override = 1; - override_seqlbl = "program_ckbd"; - override_testf = tm_1; - site_control = "parallel:"; - site_match = 2; -program_ckbd_8_864CE8F: +program_ckbd_8_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b0"; override_testf = tm_18; site_control = "parallel:"; site_match = 2; -program_ckbd_9_864CE8F: +program_ckbd_9_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "program_ckbd_b1"; override_testf = tm_19; site_control = "parallel:"; site_match = 2; -some_func_test_864CE8F: +some_func_test_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "some_func_test"; override_testf = tm_92; site_control = "parallel:"; site_match = 2; -test_with_flags_864CE8F: +test_with_flags_1DF9838: local_flags = bypass, output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "test_with_flags"; override_testf = tm_98; site_control = "parallel:"; site_match = 2; -test_with_no_flags_864CE8F: +test_with_no_flags_1DF9838: override = 1; override_seqlbl = "test_with_no_flags"; override_testf = tm_97; site_control = "parallel:"; site_match = 2; -xcvr_fs_vilvih_1_864CE8F: +xcvr_fs_vilvih_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "xcvr_fs_vilvih"; - override_testf = tm_89; + override_testf = tm_88; site_control = "parallel:"; site_match = 2; -xcvr_fs_vilvih_2_864CE8F: +xcvr_fs_vilvih_1_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "xcvr_fs_vilvih"; - override_testf = tm_90; + override_testf = tm_89; site_control = "parallel:"; site_match = 2; -xcvr_fs_vilvih_3_864CE8F: +xcvr_fs_vilvih_2_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "xcvr_fs_vilvih"; - override_testf = tm_91; + override_testf = tm_90; site_control = "parallel:"; site_match = 2; -xcvr_fs_vilvih_864CE8F: +xcvr_fs_vilvih_3_1DF9838: local_flags = output_on_pass, output_on_fail, value_on_pass, value_on_fail, per_pin_on_pass, per_pin_on_fail; override = 1; override_seqlbl = "xcvr_fs_vilvih"; - override_testf = tm_88; + override_testf = tm_91; site_control = "parallel:"; site_match = 2; @@ -1822,24 +1822,24 @@ test_flow { { @ERS_VFY_FAILED = -1; - @ERASE_PASSED_1_864CE8F_PASSED = -1; - @ERASE_PASSED_2_864CE8F_PASSED = -1; - @ERASE_PASSED_3_864CE8F_FAILED = -1; - @ERASE_PASSED_4_864CE8F_FAILED = -1; - @ERASE_FAILED_1_864CE8F_FAILED = -1; - @ERASE_FAILED_2_864CE8F_FAILED = -1; - @ERASE_FAILED_3_864CE8F_PASSED = -1; - @ERASE_FAILED_4_864CE8F_PASSED = -1; - @ERASE_RAN_1_864CE8F_RAN = -1; - @ERASE_RAN_2_864CE8F_RAN = -1; - @ERASE_RAN_3_864CE8F_RAN = -1; - @ERASE_RAN_4_864CE8F_RAN = -1; - @G200_864CE8F_FAILED = -1; - @G100_864CE8F_RAN = -1; - @DEEP_TEST_864CE8F_FAILED = -1; + @ERASE_PASSED_1_1DF9838_PASSED = -1; + @ERASE_PASSED_2_1DF9838_PASSED = -1; + @ERASE_PASSED_3_1DF9838_FAILED = -1; + @ERASE_PASSED_4_1DF9838_FAILED = -1; + @ERASE_FAILED_1_1DF9838_FAILED = -1; + @ERASE_FAILED_2_1DF9838_FAILED = -1; + @ERASE_FAILED_3_1DF9838_PASSED = -1; + @ERASE_FAILED_4_1DF9838_PASSED = -1; + @ERASE_RAN_1_1DF9838_RAN = -1; + @ERASE_RAN_2_1DF9838_RAN = -1; + @ERASE_RAN_3_1DF9838_RAN = -1; + @ERASE_RAN_4_1DF9838_RAN = -1; + @G200_1DF9838_FAILED = -1; + @G100_1DF9838_RAN = -1; + @DEEP_TEST_1DF9838_FAILED = -1; }, open,"Init Flow Control Vars", "" { - run_and_branch(program_ckbd_864CE8F) + run_and_branch(program_ckbd_1DF9838) then { } @@ -1847,17 +1847,17 @@ test_flow { stop_bin "1100", "fail", , bad, noreprobe, red, 100, over_on; } - run(margin_read1_ckbd_864CE8F); - run(margin_read0_ckbd_864CE8F); + run(margin_read1_ckbd_1DF9838); + run(margin_read0_ckbd_1DF9838); { - run(erase_all_864CE8F); - run(erase_all_1_864CE8F); - run(erase_all_2_864CE8F); - run(erase_all_3_864CE8F); - run(erase_all_4_864CE8F); - run(erase_all_5_864CE8F); + run(erase_all_1DF9838); + run(erase_all_1_1DF9838); + run(erase_all_2_1DF9838); + run(erase_all_3_1DF9838); + run(erase_all_4_1DF9838); + run(erase_all_5_1DF9838); { - run_and_branch(margin_read1_all1_864CE8F) + run_and_branch(margin_read1_all1_1DF9838) then { } @@ -1868,47 +1868,47 @@ test_flow }, open,"erase_vfy", "" }, open,"erase", "" print_dl("Should be v1"); - run(program_ckbd_1_864CE8F); + run(program_ckbd_1_1DF9838); print_dl("Should be v2"); - run(program_ckbd_2_864CE8F); + run(program_ckbd_2_1DF9838); print_dl("Should be v1"); - run(program_ckbd_3_864CE8F); + run(program_ckbd_3_1DF9838); print_dl("Should be v2"); - run(program_ckbd_4_864CE8F); + run(program_ckbd_4_1DF9838); print_dl("Should be a v1 test instance group"); { - run(program_ckbd_5_864CE8F); - run(program_ckbd_6_864CE8F); - run(program_ckbd_7_864CE8F); + run(program_ckbd_5_1DF9838); + run(program_ckbd_6_1DF9838); + run(program_ckbd_7_1DF9838); }, open,"program_ckbd", "" print_dl("Should be a v2 test instance group"); { - run(program_ckbd_8_864CE8F); - run(program_ckbd_9_864CE8F); - run(program_ckbd_10_864CE8F); + run(program_ckbd_8_1DF9838); + run(program_ckbd_9_1DF9838); + run(program_ckbd_10_1DF9838); }, open,"program_ckbd_2", "" print_dl("Should be a v1 test instance group"); { - run(program_ckbd_11_864CE8F); - run(program_ckbd_12_864CE8F); - run(program_ckbd_13_864CE8F); + run(program_ckbd_11_1DF9838); + run(program_ckbd_12_1DF9838); + run(program_ckbd_13_1DF9838); }, open,"program_ckbd_3", "" print_dl("Should be a v2 test instance group"); { - run(program_ckbd_14_864CE8F); - run(program_ckbd_15_864CE8F); - run(program_ckbd_16_864CE8F); + run(program_ckbd_14_1DF9838); + run(program_ckbd_15_1DF9838); + run(program_ckbd_16_1DF9838); }, open,"program_ckbd_4", "" if @JOB == "P1" then { - run(p1_only_test_864CE8F); + run(p1_only_test_1DF9838); } else { } if @JOB == "P1" or @JOB == "P2" then { - run(p1_or_p2_only_test_864CE8F); + run(p1_or_p2_only_test_1DF9838); } else { @@ -1918,24 +1918,24 @@ test_flow } else { - run(not_p1_test_864CE8F); + run(not_p1_test_1DF9838); } if @JOB == "P1" or @JOB == "P2" then { } else { - run(not_p1_or_p2_test_864CE8F); - run(another_not_p1_or_p2_test_864CE8F); + run(not_p1_or_p2_test_1DF9838); + run(another_not_p1_or_p2_test_1DF9838); } print_dl("Verify that a test with an external instance works"); - run(por_ins_864CE8F); + run(por_ins_1DF9838); print_dl("Verify that a request to use the current context works"); if @JOB == "P1" then { - run(erase_all_6_864CE8F); - run(erase_all_7_864CE8F); - run(erase_all_8_864CE8F); + run(erase_all_6_1DF9838); + run(erase_all_7_1DF9838); + run(erase_all_8_1DF9838); } else { @@ -1945,55 +1945,55 @@ test_flow } else { - run(erase_all_9_864CE8F); + run(erase_all_9_1DF9838); } - run(erase_all_10_864CE8F); - run_and_branch(margin_read1_all1_1_864CE8F) + run(erase_all_10_1DF9838); + run_and_branch(margin_read1_all1_1_1DF9838) then { } else { - run(erase_all_11_864CE8F); + run(erase_all_11_1DF9838); } - run_and_branch(margin_read1_all1_2_864CE8F) + run_and_branch(margin_read1_all1_2_1DF9838) then { } else { - run(erase_all_12_864CE8F); + run(erase_all_12_1DF9838); } - run_and_branch(margin_read1_all1_3_864CE8F) + run_and_branch(margin_read1_all1_3_1DF9838) then { } else { - run(erase_all_13_864CE8F); + run(erase_all_13_1DF9838); } - run_and_branch(margin_read1_all1_4_864CE8F) + run_and_branch(margin_read1_all1_4_1DF9838) then { } else { - run(erase_all_14_864CE8F); + run(erase_all_14_1DF9838); } - run_and_branch(margin_read1_all1_5_864CE8F) + run_and_branch(margin_read1_all1_5_1DF9838) then { } else { - run(erase_all_15_864CE8F); + run(erase_all_15_1DF9838); } - run(margin_read1_all1_6_864CE8F); + run(margin_read1_all1_6_1DF9838); print_dl("Test if enable"); if @DO_ERASE == 1 then { - run(erase_all_16_864CE8F); - run(erase_all_17_864CE8F); + run(erase_all_16_1DF9838); + run(erase_all_17_1DF9838); } else { @@ -2004,184 +2004,184 @@ test_flow } else { - run(erase_all_18_864CE8F); - run(erase_all_19_864CE8F); - run(erase_all_20_864CE8F); + run(erase_all_18_1DF9838); + run(erase_all_19_1DF9838); + run(erase_all_20_1DF9838); } - run(erase_all_21_864CE8F); - run(erase_all_22_864CE8F); + run(erase_all_21_1DF9838); + run(erase_all_22_1DF9838); print_dl("Test if_passed"); - run_and_branch(erase_all_23_864CE8F) + run_and_branch(erase_all_23_1DF9838) then { - @ERASE_PASSED_1_864CE8F_PASSED = 1; + @ERASE_PASSED_1_1DF9838_PASSED = 1; } else { } - run_and_branch(erase_all_24_864CE8F) + run_and_branch(erase_all_24_1DF9838) then { - @ERASE_PASSED_2_864CE8F_PASSED = 1; + @ERASE_PASSED_2_1DF9838_PASSED = 1; } else { } - if @ERASE_PASSED_1_864CE8F_PASSED == 1 then + if @ERASE_PASSED_1_1DF9838_PASSED == 1 then { - run(margin_read1_all1_7_864CE8F); + run(margin_read1_all1_7_1DF9838); } else { } - if @ERASE_PASSED_2_864CE8F_PASSED == 1 then + if @ERASE_PASSED_2_1DF9838_PASSED == 1 then { - run(margin_read1_all1_8_864CE8F); + run(margin_read1_all1_8_1DF9838); } else { } print_dl("Test unless_passed"); - run_and_branch(erase_all_25_864CE8F) + run_and_branch(erase_all_25_1DF9838) then { } else { - @ERASE_PASSED_3_864CE8F_FAILED = 1; + @ERASE_PASSED_3_1DF9838_FAILED = 1; } - run_and_branch(erase_all_26_864CE8F) + run_and_branch(erase_all_26_1DF9838) then { } else { - @ERASE_PASSED_4_864CE8F_FAILED = 1; + @ERASE_PASSED_4_1DF9838_FAILED = 1; } - if @ERASE_PASSED_3_864CE8F_FAILED == 1 then + if @ERASE_PASSED_3_1DF9838_FAILED == 1 then { - run(margin_read1_all1_9_864CE8F); + run(margin_read1_all1_9_1DF9838); } else { } - if @ERASE_PASSED_4_864CE8F_FAILED == 1 then + if @ERASE_PASSED_4_1DF9838_FAILED == 1 then { - run(margin_read1_all1_10_864CE8F); + run(margin_read1_all1_10_1DF9838); } else { } print_dl("Test if_failed"); - run_and_branch(erase_all_27_864CE8F) + run_and_branch(erase_all_27_1DF9838) then { } else { - @ERASE_FAILED_1_864CE8F_FAILED = 1; + @ERASE_FAILED_1_1DF9838_FAILED = 1; } - run_and_branch(erase_all_28_864CE8F) + run_and_branch(erase_all_28_1DF9838) then { } else { - @ERASE_FAILED_2_864CE8F_FAILED = 1; + @ERASE_FAILED_2_1DF9838_FAILED = 1; } - if @ERASE_FAILED_1_864CE8F_FAILED == 1 then + if @ERASE_FAILED_1_1DF9838_FAILED == 1 then { - run(margin_read1_all1_11_864CE8F); + run(margin_read1_all1_11_1DF9838); } else { } - if @ERASE_FAILED_2_864CE8F_FAILED == 1 then + if @ERASE_FAILED_2_1DF9838_FAILED == 1 then { - run(margin_read1_all1_12_864CE8F); + run(margin_read1_all1_12_1DF9838); } else { } print_dl("Test unless_failed"); - run_and_branch(erase_all_29_864CE8F) + run_and_branch(erase_all_29_1DF9838) then { - @ERASE_FAILED_3_864CE8F_PASSED = 1; + @ERASE_FAILED_3_1DF9838_PASSED = 1; } else { } - run_and_branch(erase_all_30_864CE8F) + run_and_branch(erase_all_30_1DF9838) then { - @ERASE_FAILED_4_864CE8F_PASSED = 1; + @ERASE_FAILED_4_1DF9838_PASSED = 1; } else { } - if @ERASE_FAILED_3_864CE8F_PASSED == 1 then + if @ERASE_FAILED_3_1DF9838_PASSED == 1 then { - run(margin_read1_all1_13_864CE8F); + run(margin_read1_all1_13_1DF9838); } else { } - if @ERASE_FAILED_4_864CE8F_PASSED == 1 then + if @ERASE_FAILED_4_1DF9838_PASSED == 1 then { - run(margin_read1_all1_14_864CE8F); + run(margin_read1_all1_14_1DF9838); } else { } print_dl("Test if_ran"); - run(erase_all_31_864CE8F); - @ERASE_RAN_1_864CE8F_RAN = 1; - run(erase_all_32_864CE8F); - @ERASE_RAN_2_864CE8F_RAN = 1; - if @ERASE_RAN_1_864CE8F_RAN == 1 then + run(erase_all_31_1DF9838); + @ERASE_RAN_1_1DF9838_RAN = 1; + run(erase_all_32_1DF9838); + @ERASE_RAN_2_1DF9838_RAN = 1; + if @ERASE_RAN_1_1DF9838_RAN == 1 then { - run(margin_read1_all1_15_864CE8F); + run(margin_read1_all1_15_1DF9838); } else { } - if @ERASE_RAN_2_864CE8F_RAN == 1 then + if @ERASE_RAN_2_1DF9838_RAN == 1 then { - run(margin_read1_all1_16_864CE8F); + run(margin_read1_all1_16_1DF9838); } else { } print_dl("Test unless_ran"); - run(erase_all_33_864CE8F); - @ERASE_RAN_3_864CE8F_RAN = 1; - run(erase_all_34_864CE8F); - @ERASE_RAN_4_864CE8F_RAN = 1; - if @ERASE_RAN_3_864CE8F_RAN == 1 then + run(erase_all_33_1DF9838); + @ERASE_RAN_3_1DF9838_RAN = 1; + run(erase_all_34_1DF9838); + @ERASE_RAN_4_1DF9838_RAN = 1; + if @ERASE_RAN_3_1DF9838_RAN == 1 then { } else { - run(margin_read1_all1_17_864CE8F); + run(margin_read1_all1_17_1DF9838); } - if @ERASE_RAN_4_864CE8F_RAN == 1 then + if @ERASE_RAN_4_1DF9838_RAN == 1 then { } else { - run(margin_read1_all1_18_864CE8F); + run(margin_read1_all1_18_1DF9838); } print_dl("Verify that job context wraps import"); if @JOB == "FR" then { { - run(erase_all_35_864CE8F); - run(erase_all_36_864CE8F); - run(erase_all_37_864CE8F); - run(erase_all_38_864CE8F); + run(erase_all_35_1DF9838); + run(erase_all_36_1DF9838); + run(erase_all_37_1DF9838); + run(erase_all_38_1DF9838); { - run_and_branch(margin_read1_all1_19_864CE8F) + run_and_branch(margin_read1_all1_19_1DF9838) then { } @@ -2201,28 +2201,28 @@ test_flow { if @ADDITIONAL_ERASE == 1 then { - run(erase_all_39_864CE8F); + run(erase_all_39_1DF9838); } else { } }, open,"additional_erase", "" { - run(erase_all_40_864CE8F); + run(erase_all_40_1DF9838); }, open,"additional_erase_2", "" } else { } print_dl("Verify that flow.cz works..."); - run(margin_read1_all1_20_864CE8F); + run(margin_read1_all1_20_1DF9838); print_dl("Verify that flow.cz works with enable words"); if @USB_XCVR_CZ == 1 then { - run(xcvr_fs_vilvih_864CE8F); - run(xcvr_fs_vilvih_1_864CE8F); - run(xcvr_fs_vilvih_2_864CE8F); - run(xcvr_fs_vilvih_3_864CE8F); + run(xcvr_fs_vilvih_1DF9838); + run(xcvr_fs_vilvih_1_1DF9838); + run(xcvr_fs_vilvih_2_1DF9838); + run(xcvr_fs_vilvih_3_1DF9838); } else { @@ -2236,7 +2236,7 @@ test_flow } else { - @G200_864CE8F_FAILED = 1; + @G200_1DF9838_FAILED = 1; } run_and_branch(test200_2) then @@ -2244,7 +2244,7 @@ test_flow } else { - @G200_864CE8F_FAILED = 1; + @G200_1DF9838_FAILED = 1; } run_and_branch(test200_3) then @@ -2252,13 +2252,13 @@ test_flow } else { - @G200_864CE8F_FAILED = 1; + @G200_1DF9838_FAILED = 1; } }, open,"200Mhz Tests", "" - if @G200_864CE8F_FAILED == 1 then + if @G200_1DF9838_FAILED == 1 then { { - @G100_864CE8F_RAN = 1; + @G100_1DF9838_RAN = 1; run_and_branch(test100_1) then { @@ -2288,7 +2288,7 @@ test_flow else { } - if @G100_864CE8F_RAN == 1 then + if @G100_1DF9838_RAN == 1 then { stop_bin "", "", , good, noreprobe, green, 2, over_on; } @@ -2296,7 +2296,7 @@ test_flow { } print_dl("Test node optimization within an if_failed branch"); - run_and_branch(some_func_test_864CE8F) + run_and_branch(some_func_test_1DF9838) then { } @@ -2319,13 +2319,13 @@ test_flow stop_bin "", "fail", , bad, noreprobe, red, 13, over_on; } } - run(cc_test_0_864CE8F); - run(cc_test_1_864CE8F); - run(cc_test_2_864CE8F); + run(cc_test_0_1DF9838); + run(cc_test_1_1DF9838); + run(cc_test_2_1DF9838); print_dl("Passing test flags of mixed types works as expected"); if @SYM_FLAG == 1 or @StringFLag == 1 then { - run(mixed_flag_check_864CE8F); + run(mixed_flag_check_1DF9838); } else { @@ -2337,18 +2337,18 @@ test_flow } else { - @DEEP_TEST_864CE8F_FAILED = 1; + @DEEP_TEST_1DF9838_FAILED = 1; } }, open,"deep_nested", "" print_dl("Passing test flags works as expected"); - run(test_with_no_flags_864CE8F); - run(test_with_flags_864CE8F); + run(test_with_no_flags_1DF9838); + run(test_with_flags_1DF9838); print_dl("force_serial test method parameter can be programmed"); - run(force_serial_true_test_864CE8F); - run(force_serial_false_test_864CE8F); + run(force_serial_true_test_1DF9838); + run(force_serial_false_test_1DF9838); }, open,"prb1_main", "" { - run_and_branch(meas_read_pump_864CE8F) + run_and_branch(meas_read_pump_1DF9838) then { } @@ -2356,7 +2356,7 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run_and_branch(meas_read_pump_1_864CE8F) + run_and_branch(meas_read_pump_1_1DF9838) then { } @@ -2364,7 +2364,7 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run_and_branch(meas_read_pump_2_864CE8F) + run_and_branch(meas_read_pump_2_1DF9838) then { } @@ -2372,7 +2372,7 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run_and_branch(meas_read_pump_3_864CE8F) + run_and_branch(meas_read_pump_3_1DF9838) then { } @@ -2380,7 +2380,7 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run_and_branch(meas_read_pump_4_864CE8F) + run_and_branch(meas_read_pump_4_1DF9838) then { } @@ -2388,10 +2388,10 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run(meas_read_pump_5_864CE8F); - run(meas_read_pump_6_864CE8F); - run(meas_read_pump_7_864CE8F); - run_and_branch(meas_read_pump_8_864CE8F) + run(meas_read_pump_5_1DF9838); + run(meas_read_pump_6_1DF9838); + run(meas_read_pump_7_1DF9838); + run_and_branch(meas_read_pump_8_1DF9838) then { } @@ -2399,7 +2399,7 @@ test_flow { stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } - run_and_branch(meas_read_pump_9_864CE8F) + run_and_branch(meas_read_pump_9_1DF9838) then { } @@ -2408,7 +2408,7 @@ test_flow stop_bin "2", "fail", , bad, noreprobe, red, 119, over_on; } }, open,"test", "" - if @DEEP_TEST_864CE8F_FAILED == 1 then + if @DEEP_TEST_1DF9838_FAILED == 1 then { run(on_deep_1); } diff --git a/test_apps/python_app/approved/v93ksmt7/test_program/testflow/setup/prb1_vars.tf b/test_apps/python_app/approved/v93ksmt7/test_program/testflow/setup/prb1_vars.tf index 72db3247..e62a04b5 100644 --- a/test_apps/python_app/approved/v93ksmt7/test_program/testflow/setup/prb1_vars.tf +++ b/test_apps/python_app/approved/v93ksmt7/test_program/testflow/setup/prb1_vars.tf @@ -4,22 +4,22 @@ language_revision = 1; declarations @ALARM = 0; -@DEEP_TEST_864CE8F_FAILED = 0; -@ERASE_FAILED_1_864CE8F_FAILED = 0; -@ERASE_FAILED_2_864CE8F_FAILED = 0; -@ERASE_FAILED_3_864CE8F_PASSED = 0; -@ERASE_FAILED_4_864CE8F_PASSED = 0; -@ERASE_PASSED_1_864CE8F_PASSED = 0; -@ERASE_PASSED_2_864CE8F_PASSED = 0; -@ERASE_PASSED_3_864CE8F_FAILED = 0; -@ERASE_PASSED_4_864CE8F_FAILED = 0; -@ERASE_RAN_1_864CE8F_RAN = 0; -@ERASE_RAN_2_864CE8F_RAN = 0; -@ERASE_RAN_3_864CE8F_RAN = 0; -@ERASE_RAN_4_864CE8F_RAN = 0; +@DEEP_TEST_1DF9838_FAILED = 0; +@ERASE_FAILED_1_1DF9838_FAILED = 0; +@ERASE_FAILED_2_1DF9838_FAILED = 0; +@ERASE_FAILED_3_1DF9838_PASSED = 0; +@ERASE_FAILED_4_1DF9838_PASSED = 0; +@ERASE_PASSED_1_1DF9838_PASSED = 0; +@ERASE_PASSED_2_1DF9838_PASSED = 0; +@ERASE_PASSED_3_1DF9838_FAILED = 0; +@ERASE_PASSED_4_1DF9838_FAILED = 0; +@ERASE_RAN_1_1DF9838_RAN = 0; +@ERASE_RAN_2_1DF9838_RAN = 0; +@ERASE_RAN_3_1DF9838_RAN = 0; +@ERASE_RAN_4_1DF9838_RAN = 0; @ERS_VFY_FAILED = 0; -@G100_864CE8F_RAN = 0; -@G200_864CE8F_FAILED = 0; +@G100_1DF9838_RAN = 0; +@G200_1DF9838_FAILED = 0; @JOB = ""; end