Skip to content
This repository has been archived by the owner on Aug 20, 2024. It is now read-only.

Verilog Emitter: randomize registers by default #2366

Open
ekiwi opened this issue Sep 23, 2021 · 0 comments
Open

Verilog Emitter: randomize registers by default #2366

ekiwi opened this issue Sep 23, 2021 · 0 comments
Assignees
Milestone

Comments

@ekiwi
Copy link
Contributor

ekiwi commented Sep 23, 2021

Jack and I talked about this on Gitter. We think that randomization should be opt-out instead of opt-in.

@ekiwi ekiwi added this to the 1.5.0 milestone Sep 23, 2021
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants