Skip to content

darwin-arm64

darwin-arm64 #926

Triggered via workflow run September 14, 2024 02:17
@mmickommicko
completed 8bd73a4
Status Success
Total duration 13m 15s
Artifacts

darwin-arm64.yml

on: workflow_run
darwin-arm64-cadical
5s
darwin-arm64-cadical
darwin-arm64-yosys
7s
darwin-arm64-yosys
darwin-arm64-python3
23s
darwin-arm64-python3
darwin-arm64-lingeling
5s
darwin-arm64-lingeling
darwin-arm64-btor2tools
8s
darwin-arm64-btor2tools
darwin-arm64-ghdl
8s
darwin-arm64-ghdl
darwin-arm64-picosat
8s
darwin-arm64-picosat
darwin-arm64-libpoly
11s
darwin-arm64-libpoly
darwin-arm64-graphviz
54s
darwin-arm64-graphviz
darwin-arm64-icestorm
5s
darwin-arm64-icestorm
darwin-arm64-prjtrellis
7s
darwin-arm64-prjtrellis
darwin-arm64-prjoxide
6s
darwin-arm64-prjoxide
darwin-arm64-openfpgaloader
8s
darwin-arm64-openfpgaloader
darwin-arm64-avy
6s
darwin-arm64-avy
darwin-arm64-cvc4
14s
darwin-arm64-cvc4
darwin-arm64-yices
11s
darwin-arm64-yices
darwin-arm64-z3
12s
darwin-arm64-z3
darwin-arm64-gtkwave
4s
darwin-arm64-gtkwave
darwin-arm64-verilator
8m 43s
darwin-arm64-verilator
darwin-arm64-iverilog
7s
darwin-arm64-iverilog
darwin-arm64-utils
12s
darwin-arm64-utils
darwin-arm64-system-resources
7s
darwin-arm64-system-resources
darwin-arm64-eqy
7s
darwin-arm64-eqy
darwin-arm64-xdot
15s
darwin-arm64-xdot
darwin-arm64-formal
24s
darwin-arm64-formal
darwin-arm64-nextpnr-generic
7s
darwin-arm64-nextpnr-generic
darwin-arm64-nextpnr-ice40
26s
darwin-arm64-nextpnr-ice40
darwin-arm64-nextpnr-ecp5
21s
darwin-arm64-nextpnr-ecp5
darwin-arm64-nextpnr-machxo2
2m 15s
darwin-arm64-nextpnr-machxo2
darwin-arm64-nextpnr-nexus
14s
darwin-arm64-nextpnr-nexus
darwin-arm64-nextpnr-himbaechel
16s
darwin-arm64-nextpnr-himbaechel
darwin-arm64-apicula
9s
darwin-arm64-apicula
darwin-arm64-python-programmers
18s
darwin-arm64-python-programmers
darwin-arm64-pyhdl
11s
darwin-arm64-pyhdl
darwin-arm64-boolector
12s
darwin-arm64-boolector
darwin-arm64-bitwuzla
8s
darwin-arm64-bitwuzla
darwin-arm64-ghdl-yosys-plugin
20s
darwin-arm64-ghdl-yosys-plugin
darwin-arm64-aiger
10s
darwin-arm64-aiger
darwin-arm64-cvc5
10s
darwin-arm64-cvc5
darwin-arm64-smt-switch
8s
darwin-arm64-smt-switch
darwin-arm64-pono
14s
darwin-arm64-pono
darwin-arm64-default
3m 59s
darwin-arm64-default
Fit to window
Zoom out
Zoom in

Annotations

2 warnings
darwin-arm64-nextpnr-machxo2
Failed to download action 'https://api.github.com/repos/ncipollo/release-action/tarball/2c591bcc8ecdcd2db72b97d6147f871fcd833ba5'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
darwin-arm64-nextpnr-machxo2
Back off 21.782 seconds before retry.