Skip to content

linux-x64

linux-x64 #1287

Triggered via schedule September 28, 2024 02:02
Status Success
Total duration 19m 45s
Artifacts

linux-x64.yml

on: schedule
linux-x64-python3-native
21s
linux-x64-python3-native
linux-x64-nextpnr-bba
5s
linux-x64-nextpnr-bba
linux-x64-cadical
5s
linux-x64-cadical
linux-x64-lingeling
9s
linux-x64-lingeling
linux-x64-btor2tools
10s
linux-x64-btor2tools
linux-x64-symfpu
3s
linux-x64-symfpu
linux-x64-ghdl
8s
linux-x64-ghdl
linux-x64-icestorm
5s
linux-x64-icestorm
linux-x64-prjoxide
6s
linux-x64-prjoxide
linux-x64-picosat
3s
linux-x64-picosat
linux-x64-libpoly
5s
linux-x64-libpoly
linux-x64-graphviz
56s
linux-x64-graphviz
linux-x64-imctk
5m 10s
linux-x64-imctk
linux-x64-prjtrellis
15s
linux-x64-prjtrellis
linux-x64-openfpgaloader
10s
linux-x64-openfpgaloader
linux-x64-avy
5s
linux-x64-avy
linux-x64-cvc4
9s
linux-x64-cvc4
linux-x64-yices
11s
linux-x64-yices
linux-x64-z3
13m 58s
linux-x64-z3
linux-x64-gtkwave
5s
linux-x64-gtkwave
linux-x64-verilator
6m 8s
linux-x64-verilator
linux-x64-iverilog
6s
linux-x64-iverilog
linux-x64-utils
15s
linux-x64-utils
linux-x64-system-resources
4s
linux-x64-system-resources
linux-x64-prjtrellis-bba
11s
linux-x64-prjtrellis-bba
linux-x64-python2-native
21s
linux-x64-python2-native
linux-x64-abc
11s
linux-x64-abc
linux-x64-python3
22s
linux-x64-python3
linux-x64-boolector
12s
linux-x64-boolector
linux-x64-bitwuzla
9s
linux-x64-bitwuzla
linux-x64-icestorm-bba
10s
linux-x64-icestorm-bba
linux-x64-prjoxide-bba
12s
linux-x64-prjoxide-bba
linux-x64-aiger
5s
linux-x64-aiger
linux-x64-cvc5
12s
linux-x64-cvc5
linux-x64-python2
19s
linux-x64-python2
linux-x64-yosys
16s
linux-x64-yosys
linux-x64-apicula
9s
linux-x64-apicula
linux-x64-nextpnr-ecp5
6m 10s
linux-x64-nextpnr-ecp5
linux-x64-nextpnr-machxo2
4m 33s
linux-x64-nextpnr-machxo2
linux-x64-nextpnr-himbaechel
4m 59s
linux-x64-nextpnr-himbaechel
linux-x64-nextpnr-generic
3m 29s
linux-x64-nextpnr-generic
linux-x64-xdot
16s
linux-x64-xdot
linux-x64-formal
3m 23s
linux-x64-formal
linux-x64-python-programmers
9s
linux-x64-python-programmers
linux-x64-pyhdl
19s
linux-x64-pyhdl
linux-x64-cocotb
2m 2s
linux-x64-cocotb
linux-x64-nextpnr-ice40
6m 2s
linux-x64-nextpnr-ice40
linux-x64-nextpnr-nexus
4m 28s
linux-x64-nextpnr-nexus
linux-x64-smt-switch
6s
linux-x64-smt-switch
linux-x64-suprove
12s
linux-x64-suprove
linux-x64-ghdl-yosys-plugin
5s
linux-x64-ghdl-yosys-plugin
linux-x64-eqy
6s
linux-x64-eqy
linux-x64-nextpnr-himbaechel-gowin
1m 50s
linux-x64-nextpnr-himbaechel-gowin
linux-x64-pono
12s
linux-x64-pono
linux-x64-default
5m 14s
linux-x64-default
Fit to window
Zoom out
Zoom in